Свяжитесь с нами

Проверенное в отрасли и сертифицированное аналоговое и смешанное EM/IR - решение

Ansys Totem - это платформа для анализа шума мощности и надежности на уровне транзисторов, которая позволяет выполнять всесторонний анализ целостности питания для аналоговых IP со смешанными сигналами и полностью нестандартных конструкций.

Totem позволяет создавать IP-модели для подтверждения целостности питания на уровне SOC с помощью RedHawk и генерировать компактные модели микросхем сетей доставки питания для различных анализов, включая целостность питания и ESD / EMC на уровне микросхемы и системы.

Новое определение аналогового / смешанного сигнала

 

Традиционные
потоки анализа мощности, шума и надежности

Ansys Тотем основе
переопределены Full-Chip Signoff потоков

РАЗРАБОТКА СМЕШАННЫХ СИГНАЛОВ

  • Нет анализа на ранних этапах
  • Нужен чистый GDS / Netlist
  • Анализ прототипирования сетки
  • GDS Только потоки для ранней проверки слабости

ВЕРИФИКАЦИЯ ВЕРСИИ

  • Нужен подробный сетевой список извлеченных PG
  • Дроссели для имитаторов специй даже для средних размеров
  • Totem извлекает саму сетку PG, что позволяет обрабатывать сверхбольшие конструкции
  • Несколько миллионов возможностей анализа Xtor FLAT

МЕТАЛЛИЧЕСКИЕ КРЕПЛЕНИЯ И ЭКО

  • Необходимо выполнить полный повторный запуск потока от LVS к моделированию, что может занять несколько дней.
  • До Tape-in ​​очень сложно выполнить несколько итераций.
  • Нет возможности "Что, если"
  • Можно проводить инкрементный анализ с помощью новой GDS, что занимает от нескольких минут до часов.
  • Множество сценариев «что, если» и исследования, позволяющие внести разумные исправления

ПОЛНАЯ ИНТЕГРАЦИЯ ЧИПОВ

  • Комбинирование цифрового + аналогового невозможно
  • Totem может одновременно обрабатывать цифровые (P&R) блоки + аналоговые (полностью настраиваемые) блоки в одной симуляции

Тотем обеспечивает:

Лучший в своем классе анализ сигналов

Основные механизмы Totem для извлечения, моделирования, электромиграции и анализа теплового излучения сертифицированы для всех основных технологических узлов и несколько раз коррелированы с измерениями специй и кремния. Totem сертифицирован на нескольких крупных литейных заводах и является предпочтительным инструментом для подписания документов для нескольких крупных полупроводниковых компаний.

Единственное полное решение

Totem поддерживает все основные форматы данных (GDS, OASIS, база данных LVS и т. Д.) Для аналоговых, LEF / DEF (для цифровых) и совместим со всеми основными средами моделирования специй. Он способен обрабатывать очень большие проекты и обладает превосходными возможностями макромоделирования для создания точной и компактной IP-модели для подтверждения SOC.

Широкий охват проекта

Totem предоставляет исчерпывающий набор анализов, охватывающий раннюю стадию до подписания. Он может эффективно работать с различными стилями проектирования, такими как SerDes, преобразователи данных, ИС управления питанием, встроенная память, DRAM, Flash, FPGA и датчики изображения микросхемы. Кроме того, он предоставляет многочисленные возможности анализа, включая анализ шума подложки, анализ RDSON, термический анализ и анализ электростатических разрядов для решения проблем в различных конструкциях. Он также предоставляет настраиваемую кабину для клиентов, чтобы настроить свой анализ в зависимости от своих рабочих процессов.

Возможности

early and in-design analysis

В схемах аналоговых и смешанных сигналов ЭМ и ИК-анализ часто считается максимально приближенным к ленточному. Это связано с фундаментальными ограничениями в традиционном рабочем процессе, который требует, чтобы проект был чистым LVS для выполнения извлечения, моделирования и получения надежных результатов EMIR. Однако по мере сокращения циклов проектирования возрастает потребность во внутрипроектном анализе на ранних этапах процесса проектирования. Это связано с масштабированием технологии, которое приводит к более тонким межсоединениям, демонстрирующим более высокое паразитное сопротивление, более низкую устойчивость к электромагнитным помехам, более высокую плотность мощности и более низкие рабочие напряжения.

Totem предлагает множество возможностей, таких как анализ слабости электросети, отсутствие переходных отверстий, проверки P2P и различные статические и динамические ИК- и ЭМ-анализы на ранних стадиях, которые могут выявить слабые места конструкции, устранение которых дорого обходится ближе к ленте. Они представлены в интуитивно понятном и удобном для пользователя виде, чтобы проектировщики могли принимать ключевые проектные решения, такие как планирование энергосистемы, размещение выступов, оптимизация колпачка развязки, ЭМ в критических сетях и т.д.

 Signoff analysis DVD map  

Выбор правильных векторов нагрузки на энергосистему является сложной задачей, поэтому проектировщики часто могут запускать более длительные симуляции, чтобы охватить больше сценариев. Включение сложности энергосистемы в общее моделирование значительно увеличивает время анализа в традиционных потоках, которое занимает от нескольких дней до недель. Это делает невозможными любые изменения ECO и может привести к дорогостоящему повторному вращению металла или даже к проблемам с выходом кремния.

Totem предлагает множество опций, позволяющих точно подписывать большие проекты со смешаннымиXtor Voltage @ RX Mode - Xtor switching current сигналами. Ключевые функции, такие как встроенная обработка цифровой базы данных места и маршрута и иерархический анализ сложной AMS, значительно упрощают общий поток, облегчая сводное представление снизу вверх, где владельцы блоков могут выполнять тщательную проверку своих блоков и объединять комплексный транзистор с несколькими состояниями уровневые или абстрактные макромодели для анализа верхнего уровня. Интегрируя несколько блоков вместе с цифровыми данными о местоположении и маршрутах, моделирование верхнего уровня может использовать и согласовывать различные функциональные состояния подблоков, чтобы имитировать несколько худших сценариев, которые могут вызвать нагрузку на энергосистему.

Классическим примером сложного интерфейса смешанных сигналов является интерфейс PHY. Последовательные интерфейсы (также известные как SerDes) можно разбить на четко определенные субблоки, такие как часы и сегменты данных. Их можно анализировать и моделировать как макромодели. Эти макромодели могут сохранять полную неизменяемую энергосистему вплоть до диффузии устройства и подробные многомерные профили тока, моделирующие различные функциональные состояния. Более того, любые цифровые блоки в интерфейсе также можно детально моделировать вплоть до стандартного уровня ячеек с векторами (VCD / FSDB) или безвекторным подходом. Полный анализ уровня IP влечет за собой объединение этих представлений тактовых импульсов и данных, а также включение моделей корпусов для выполнения подробного анализа переходных падений напряжения. Totem анализирует распространение шума по всей сети энергоснабжения, от корпуса до электросети на кристалле и сети субстрата.

advanced reliability analysisХотя FinFET предлагает несколько преимуществ в отношении площади и производительности, локальные температурные градиенты на конструкциях FinFET могут достигать температуры на устройстве до 30 градусов Цельсия, что в основном связано с трехмерной природой FinFET, ультратонких, высокоомных межсоединений. и диэлектрики с плохой теплопроводностью. Totem обеспечивает комплексный EM Signoff, который включает в себя анализ мощности / сигнала, моделирование джоулева нагрева, соединения проводов и самонагрева FinFET, а также их влияние на межсоединения. Поток был задействован всеми основными литейными заводами и использовался всеми заказчиками, занимающимися проектами FinFET. Статистическое EM-бюджетирование также включено в Totem для удовлетворения потребностей в автомобильных и других критически важных приложениях.

ANSYS Totem: IP Sign-off and SoC IntegrationIP-адреса являются неотъемлемой частью каждой конструкции SoC. Потребность в повсеместном подключении подтолкнула порог для контента в SoC за пределы предсказаний закона Мура. IP-адрес не только требуется для работы в автономном режиме, но также должен работать в контексте всех цепей, включая сторонние IP-адреса, в целевой SoC. Интеграция и проверка IP считаются одними из самых больших проблем, с которыми сталкиваются разработчики SoC. Один и тот же IP, работающий в двух разных режимах, может испытывать очень разные падения напряжения на верхнем уровне. Чтобы обеспечить целостность питания IP на всех уровнях иерархии в SoC, IP должен быть точно смоделирован и охарактеризован для различных режимов работы при анализе падения напряжения верхнего уровня. Передача IP команде SoC должна включать электрические и физические свойства IP.

ANSYS Totem: Debug and Root Cause AnalysisСреда графического интерфейса пользователя Totem тесно связана с базовым механизмом и предлагает расширенные возможности запросов и отладки, позволяющие легко выявлять и первопричины слабых мест в конструкции. Он имеет несколько настраиваемых карт и отладочных окон, которые могут помочь дизайнерам легко найти и исправить настоящие недостатки дизайна. Кроме того, Totem также предлагает возможности для выполнения анализа «что, если» для выполнения быстрых исправлений конструкции и выполнения проверки перед завершением изменений в конструкции. Это значительно ускоряет цикл обработки по сравнению с традиционными потоками, когда необходимо выполнить исправления проекта в инструментах реализации, а затем выполнить дорогостоящее извлечение LVS и RC перед выполнением анализа EMIR.

ANSYS Totem: Analysis of Power Management ICs

Totem предлагает расширенный анализ и моделирование для различных рынков. Сюда входит такой анализ, как:

  • Автоматизированная комплексная электромагнитная проверка стандартной библиотеки ячеек и создание тепловизионных изображений для анализа уровня SOC
  • RDSON и EM signoff для больших, сложных ИС управления радиочастотами и питанием
  • Анализ шумовой связи подложки для высокоскоростных конструкций AMS / RF и PMIC
  • Анализ ESD для всестороннего согласования HBM и CDM
  • Энергетический и термический анализ чип-пакет-системы
  • Подтверждение целостности питания с несколькими кристаллами

Какие продукты ANSYS лучше всего соответствуют вашим потребностям?

Свяжитесь с нами и мы подберём решение под Ваши конкретные задачи

Свяжитесь с нами

Наш сайт сохранит анонимные идентификаторы (cookie-файлы) на ваше устройство. Это способствует персонализации контента, а также используется в статистических целях. Вы можете отключить использование cookie-файлов, изменив настройки Вашего браузера. Пользуясь этим сайтом при настройках браузера по умолчанию, вы соглашаетесь на использование cookie-файлов и сохранение информации на Вашем устройстве.

Принимаю

Инженерный сервис продуктов ANSYS

Адванс Технолоджи является официальным партнером ANSYS, имеет многолетний опыт внедрения и использования ПО ANSYS в инжиниринговых проектах.

Оказывает комплексный инженерный сервис:

  • Техническая поддержка и консультирование по программному обеспечению.
  • Решение задач на стыке программного обеспечения и вычислительных мощностей.
  • Консультирование при решении уникальных или сверхбольших задач, а также задач с высокой точностью.
  • Разработка расчетных методик для конструкторов и расчетчиков.
  • Обучение по работе в программном обеспечении и повышению квалификации инженерного состава.
  • Инжиниринговые задачи по разработке новых или реверсивному инжинирингу существующих изделий.
Оставить заявку